首页 星云 工具 资源 星选 资讯 热门工具
:

PDF转图片 完全免费 小红书视频下载 无水印 抖音视频下载 无水印 数字星空

HTML+CSS实现快速3D效果,六个案例源码分享 3D球体、正方体+鼠标渐入渐出效果、贴图正方体、球体相册、行星环绕相册

前端 17.57MB 14 需要积分: 1
立即下载

资源介绍:

【HTML+CSS】实现快速3D效果,六个案例源码分享。3D球体、正方体+鼠标渐入渐出效果、贴图正方体、球体相册、行星环绕相册。使用于刚开始学习前端的人群,在不使用js的前提下就实现3D效果。代码简洁易懂,初级开发者利器。源码是开源的,任何人都可以借鉴。
Document

1

2

3

4

5

6

资源文件列表:

3D效果源码.zip 大约有29个文件
  1. 3D效果源码/
  2. 3D效果源码/01-3D球测试.html 2.79KB
  3. 3D效果源码/02-正方体测试.html 3.43KB
  4. 3D效果源码/03-贴图正方体.html 3.31KB
  5. 3D效果源码/04-夜光球体.html 3.11KB
  6. 3D效果源码/05-球体相册.html 1.21KB
  7. 3D效果源码/06-环绕球体.html 1.58KB
  8. 3D效果源码/css/
  9. 3D效果源码/css/05.css 2.35KB
  10. 3D效果源码/css/06.css 4.21KB
  11. 3D效果源码/images/
  12. 3D效果源码/images/01-a.png 662.36KB
  13. 3D效果源码/images/02-a.png 1.08MB
  14. 3D效果源码/images/03-a.png 117.45KB
  15. 3D效果源码/images/04-a.png 1.66MB
  16. 3D效果源码/images/05-a.png 658.98KB
  17. 3D效果源码/images/06-a.png 612.98KB
  18. 3D效果源码/images/07-a.png 633.91KB
  19. 3D效果源码/images/08-a.png 446.14KB
  20. 3D效果源码/images/09-a.png 468.38KB
  21. 3D效果源码/images/10-a.jpg 75.28KB
  22. 3D效果源码/images/11-a.png 1.76MB
  23. 3D效果源码/images/12-a.png 527.47KB
  24. 3D效果源码/images/am1.gif 1.51MB
  25. 3D效果源码/images/am2.gif 1.23MB
  26. 3D效果源码/images/am3.gif 1.36MB
  27. 3D效果源码/images/am4.gif 2MB
  28. 3D效果源码/images/am5.gif 386.35KB
  29. 3D效果源码/images/am6.gif 2.6MB
0评论
提交 加载更多评论
其他资源 QT封装的弧形进度条组件
在可视化应用中,弧形进度条应用也比较广泛,本示例封装了一个可复用、个性化的弧形进度条组件。示例代码介绍的非常详细,具有一定的参考价值,感兴趣的小伙伴们可以参考一下
在线拍卖系统2024(代码+论文+ppt)
CSDN展示地址: http://t.csdnimg.cn/28rdv
STM32学习-IIC
STM32学习-IIC
数据库原理实验一、实验1 认识DBMS二、实验2 简单SQL查询三、实验3 高级SQL查询四、实验4 数据的更新
一、实验1 认识DBMS 二、实验2 简单SQL查询 三、实验3 高级SQL查询 四、实验4 数据的更新 五、实验总结
数据库原理实验一、实验1 认识DBMS二、实验2 简单SQL查询三、实验3 高级SQL查询四、实验4 数据的更新 数据库原理实验一、实验1 认识DBMS二、实验2 简单SQL查询三、实验3 高级SQL查询四、实验4 数据的更新 数据库原理实验一、实验1 认识DBMS二、实验2 简单SQL查询三、实验3 高级SQL查询四、实验4 数据的更新
使用Quartus ii和Verilog语言构建一个32位CPU并实现FPGA单片机流水灯
Quartus II 是一款功能强大的 FPGA(现场可编程门阵列)设计软件。它提供了从设计输入、仿真、综合、优化、布局布线到最终的硬件配置的完整设计流程。Quartus II 支持多种硬件平台,包括但不限于 Cyclone、Arria 和 Stratix 系列 FPGA。 Quartus II 是电子工程师和研究人员在设计和开发 FPGA 应用时广泛使用的软件之一。供代码编辑器、项目管理工具等,提高设计效率,支持多种设计输入方式,如 VHDL、Verilog 等硬件描述语言。本文件代码使用Verilog语言。Verilog 是一种硬件描述语言(HDL),主要用于设计和模拟电子系统,特别是数字电路。Verilog 支持模块化设计,允许设计者将复杂的系统分解为更小、更易于管理的模块。配置上Quartus II提供功能仿真和时序仿真,提供在线调试工具。将综合后的逻辑映射到 FPGA 的物理结构上,并进行布线,成最终的配置文件,用于将设计下载到 FPGA 上,集成开发环境可以优化设计以提高性能和减少资源使用。本文件就是利用Quartusii工具,使用Verilog语言构建一个32位cpu。
ViT算法及其在轴承故障诊断工程领域应用
ViT算法及其在轴承故障诊断工程领域应用
文件切割V1.0.1.zip
蓝某云而言,上传资源必须小于100M,fat32文件格式只能存4G(实际3.9G)这是文件切割机就上场了,将大文件切割成数个小文件,再合并,亲测有效
libssh2库,内函编译好的32位和64位的动态库lib、dll,以及相关头文件
libssh2库,内函编译好的32位和64位的动态库lib、dll,以及相关头文件 include文件夹: libssh2.h libssh2_publickey.h libssh2_sftp.h lib文件夹: libssh2_x64.zip libssh2_x86.zip