首页 星云 工具 资源 星选 资讯 热门工具
:

PDF转图片 完全免费 小红书视频下载 无水印 抖音视频下载 无水印 数字星空

streaming模式,200M的工作时钟,输出不同频率的对比

硬件开发 23.4MB 9 需要积分: 1
立即下载

资源介绍:

streaming模式,200M的工作时钟,输出不同频率的对比
################################################################################ # Vivado (TM) v2018.3 (64-bit) # # README.txt: Please read the sections below to understand the steps required # to simulate the design for a simulator, the directory structure # and the generated exported files. # ################################################################################ 1. Simulate Design To simulate design, cd to the simulator directory and execute the script. For example:- % cd questa % ./top.sh The export simulation flow requires the Xilinx pre-compiled simulation library components for the target simulator. These components are referred using the '-lib_map_path' switch. If this switch is specified, then the export simulation will automatically set this library path in the generated script and update, copy the simulator setup file(s) in the exported directory. If '-lib_map_path' is not specified, then the pre-compiled simulation library information will not be included in the exported scripts and that may cause simulation errors when running this script. Alternatively, you can provide the library information using this switch while executing the generated script. For example:- % ./top.sh -lib_map_path /design/questa/clibs Please refer to the generated script header 'Prerequisite' section for more details. 2. Directory Structure By default, if the -directory switch is not specified, export_simulation will create the following directory structure:- /export_sim/ For example, if the current working directory is /tmp/test, export_simulation will create the following directory path:- /tmp/test/export_sim/questa If -directory switch is specified, export_simulation will create a simulator sub-directory under the specified directory path. For example, 'export_simulation -directory /tmp/test/my_test_area/func_sim' command will create the following directory:- /tmp/test/my_test_area/func_sim/questa By default, if -simulator is not specified, export_simulation will create a simulator sub-directory for each simulator and export the files for each simulator in this sub-directory respectively. IMPORTANT: Please note that the simulation library path must be specified manually in the generated script for the respective simulator. Please refer to the generated script header 'Prerequisite' section for more details. 3. Exported script and files Export simulation will create the driver shell script, setup files and copy the design sources in the output directory path. By default, when the -script_name switch is not specified, export_simulation will create the following script name:- .sh (Unix) When exporting the files for an IP using the -of_objects switch, export_simulation will create the following script name:- .sh (Unix) Export simulation will create the setup files for the target simulator specified with the -simulator switch. For example, if the target simulator is "ies", export_simulation will create the 'cds.lib', 'hdl.var' and design library diectories and mappings in the 'cds.lib' file.

资源文件列表:

base_gen.zip 大约有499个文件
  1. base_gen/
  2. base_gen/prj/
  3. base_gen/prj/p2s_ch16/
  4. base_gen/prj/p2s_ch16/.Xil/
  5. base_gen/prj/p2s_ch16/p2s_ch16.cache/
  6. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/
  7. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/activehdl/
  8. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/ies/
  9. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/modelsim/
  10. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/questa/
  11. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/riviera/
  12. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/vcs/
  13. base_gen/prj/p2s_ch16/p2s_ch16.cache/compile_simlib/xcelium/
  14. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/
  15. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/
  16. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/
  17. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/44759ac83832f606.xci 16.43KB
  18. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/dds_compiler_1.dcp 68.85KB
  19. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/dds_compiler_1_sim_netlist.v 118.13KB
  20. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/dds_compiler_1_sim_netlist.vhdl 166.99KB
  21. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/dds_compiler_1_stub.v 1.69KB
  22. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/44759ac83832f606/dds_compiler_1_stub.vhdl 1.76KB
  23. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/
  24. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/4ad593f628150c18.xci 16.43KB
  25. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/dds_compiler_0.dcp 162.77KB
  26. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/dds_compiler_0_sim_netlist.v 472.19KB
  27. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/dds_compiler_0_sim_netlist.vhdl 612.23KB
  28. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/dds_compiler_0_stub.v 1.72KB
  29. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/4ad593f628150c18/dds_compiler_0_stub.vhdl 1.8KB
  30. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/
  31. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/6ac573d3cc5d9943.xci 16.42KB
  32. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/dds_compiler_0.dcp 168.06KB
  33. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/dds_compiler_0_sim_netlist.v 482.81KB
  34. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/dds_compiler_0_sim_netlist.vhdl 622.04KB
  35. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/dds_compiler_0_stub.v 1.71KB
  36. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/6ac573d3cc5d9943/dds_compiler_0_stub.vhdl 1.8KB
  37. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/
  38. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/c642ab6f20752014.xci 16.42KB
  39. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/dds_compiler_0.dcp 165.06KB
  40. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/dds_compiler_0_sim_netlist.v 478.26KB
  41. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/dds_compiler_0_sim_netlist.vhdl 616.32KB
  42. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/dds_compiler_0_stub.v 1.71KB
  43. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/dds_compiler_0_stub.vhdl 1.8KB
  44. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/c642ab6f20752014/stats.txt 55B
  45. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/
  46. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/d8011e453a465172.xci 16.42KB
  47. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/dds_compiler_0.dcp 131KB
  48. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/dds_compiler_0_sim_netlist.v 407.32KB
  49. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/dds_compiler_0_sim_netlist.vhdl 524.52KB
  50. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/dds_compiler_0_stub.v 1.71KB
  51. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/d8011e453a465172/dds_compiler_0_stub.vhdl 1.8KB
  52. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/
  53. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/dds_compiler_0.dcp 165.05KB
  54. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/dds_compiler_0_sim_netlist.v 478.26KB
  55. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/dds_compiler_0_sim_netlist.vhdl 616.32KB
  56. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/dds_compiler_0_stub.v 1.71KB
  57. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/dds_compiler_0_stub.vhdl 1.8KB
  58. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/e38afaab54ef6bde.xci 16.42KB
  59. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/e38afaab54ef6bde/stats.txt 55B
  60. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/
  61. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/dds_compiler_1.dcp 70.33KB
  62. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/dds_compiler_1_sim_netlist.v 120.33KB
  63. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/dds_compiler_1_sim_netlist.vhdl 168.32KB
  64. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/dds_compiler_1_stub.v 1.68KB
  65. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/dds_compiler_1_stub.vhdl 1.76KB
  66. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/ee84a46e46c548f9.xci 16.42KB
  67. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/ee84a46e46c548f9/stats.txt 55B
  68. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/
  69. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/dds_compiler_0.dcp 131.03KB
  70. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/dds_compiler_0_sim_netlist.v 407.32KB
  71. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/dds_compiler_0_sim_netlist.vhdl 524.52KB
  72. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/dds_compiler_0_stub.v 1.71KB
  73. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/dds_compiler_0_stub.vhdl 1.8KB
  74. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/fdc94f814edc1ab8.xci 16.42KB
  75. base_gen/prj/p2s_ch16/p2s_ch16.cache/ip/2018.3/fdc94f814edc1ab8/stats.txt 55B
  76. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/
  77. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/gui_handlers.wdf 4.98KB
  78. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/java_command_handlers.wdf 1.13KB
  79. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/project.wpc 61B
  80. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/synthesis.wdf 5.27KB
  81. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/webtalk_pa.xml 4.92KB
  82. base_gen/prj/p2s_ch16/p2s_ch16.cache/wt/xsim.wdf 239B
  83. base_gen/prj/p2s_ch16/p2s_ch16.hw/
  84. base_gen/prj/p2s_ch16/p2s_ch16.hw/p2s_ch16.lpr 290B
  85. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/
  86. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/
  87. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_0/
  88. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_0/dds_compiler_0.veo 3.42KB
  89. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_0/dds_compiler_0.vho 3.64KB
  90. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_0/dds_compiler_0_stub.v 1.66KB
  91. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_0/dds_compiler_0_stub.vhdl 1.69KB
  92. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_1/
  93. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_1/dds_compiler_1.veo 3.35KB
  94. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_1/dds_compiler_1.vho 3.59KB
  95. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_2/
  96. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_2/dds_compiler_2.veo 3.35KB
  97. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ip/dds_compiler_2/dds_compiler_2.vho 3.59KB
  98. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/
  99. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/
  100. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/axi_utils_v2_0_vh_rfs.vhd 285.77KB
  101. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/dds_compiler_v6_0_vh_rfs.vhd 2.01MB
  102. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/mult_gen_v12_0_vh_rfs.vhd 1.25MB
  103. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_bram18k_v3_0_vh_rfs.vhd 101.27KB
  104. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd 97.49KB
  105. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd 83.24KB
  106. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd 179.18KB
  107. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_pipe_v3_0_vh_rfs.vhd 29.91KB
  108. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/ipstatic/hdl/xbip_utils_v3_0_vh_rfs.vhd 178.38KB
  109. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/README.txt 130B
  110. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/
  111. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/
  112. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/
  113. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/compile.do 1.91KB
  114. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/dds_compiler_0.sh 4.86KB
  115. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/dds_compiler_0.udo
  116. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/file_info.txt 1.08KB
  117. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/README.txt 2.15KB
  118. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/simulate.do 478B
  119. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/activehdl/wave.do 12B
  120. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/ies/
  121. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/ies/dds_compiler_0.sh 5.72KB
  122. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/ies/file_info.txt 1.08KB
  123. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/ies/README.txt 2.1KB
  124. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/ies/run.f 1.08KB
  125. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/
  126. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/compile.do 2.13KB
  127. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/dds_compiler_0.sh 5.01KB
  128. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/dds_compiler_0.udo
  129. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/file_info.txt 1.08KB
  130. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/README.txt 2.15KB
  131. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/simulate.do 478B
  132. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/modelsim/wave.do 12B
  133. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/
  134. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/compile.do 2.09KB
  135. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/dds_compiler_0.sh 5.12KB
  136. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/dds_compiler_0.udo
  137. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/elaborate.do 350B
  138. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/file_info.txt 1.08KB
  139. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/README.txt 2.15KB
  140. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/simulate.do 205B
  141. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/questa/wave.do 12B
  142. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/README.txt 3.16KB
  143. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/
  144. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/compile.do 1.87KB
  145. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/dds_compiler_0.sh 4.85KB
  146. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/dds_compiler_0.udo
  147. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/file_info.txt 1.08KB
  148. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/README.txt 2.15KB
  149. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/simulate.do 478B
  150. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/riviera/wave.do 12B
  151. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/vcs/
  152. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/vcs/dds_compiler_0.sh 7.91KB
  153. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/vcs/file_info.txt 1.08KB
  154. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/vcs/README.txt 2.15KB
  155. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/vcs/simulate.do 11B
  156. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xcelium/
  157. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xcelium/dds_compiler_0.sh 5.73KB
  158. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xcelium/file_info.txt 1.08KB
  159. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xcelium/README.txt 2.1KB
  160. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xcelium/run.f 1.12KB
  161. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/
  162. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/cmd.tcl 464B
  163. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/dds_compiler_0.sh 6.29KB
  164. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/elab.opt 362B
  165. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/file_info.txt 118B
  166. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/README.txt 2.15KB
  167. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/vhdl.prj 116B
  168. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_0/xsim/xsim.ini 22.33KB
  169. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/
  170. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/
  171. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/compile.do 1.91KB
  172. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/dds_compiler_1.sh 4.86KB
  173. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/dds_compiler_1.udo
  174. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/file_info.txt 1.08KB
  175. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/README.txt 2.15KB
  176. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/simulate.do 478B
  177. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/activehdl/wave.do 12B
  178. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/ies/
  179. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/ies/dds_compiler_1.sh 5.72KB
  180. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/ies/file_info.txt 1.08KB
  181. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/ies/README.txt 2.1KB
  182. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/ies/run.f 1.08KB
  183. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/
  184. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/compile.do 2.13KB
  185. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/dds_compiler_1.sh 5.01KB
  186. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/dds_compiler_1.udo
  187. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/file_info.txt 1.08KB
  188. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/README.txt 2.15KB
  189. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/simulate.do 478B
  190. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/modelsim/wave.do 12B
  191. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/
  192. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/compile.do 2.09KB
  193. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/dds_compiler_1.sh 5.12KB
  194. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/dds_compiler_1.udo
  195. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/elaborate.do 350B
  196. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/file_info.txt 1.08KB
  197. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/README.txt 2.15KB
  198. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/simulate.do 205B
  199. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/questa/wave.do 12B
  200. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/README.txt 3.16KB
  201. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/
  202. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/compile.do 1.87KB
  203. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/dds_compiler_1.sh 4.85KB
  204. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/dds_compiler_1.udo
  205. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/file_info.txt 1.08KB
  206. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/README.txt 2.15KB
  207. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/simulate.do 478B
  208. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/riviera/wave.do 12B
  209. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/vcs/
  210. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/vcs/dds_compiler_1.sh 7.91KB
  211. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/vcs/file_info.txt 1.08KB
  212. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/vcs/README.txt 2.15KB
  213. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/vcs/simulate.do 11B
  214. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xcelium/
  215. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xcelium/dds_compiler_1.sh 5.73KB
  216. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xcelium/file_info.txt 1.08KB
  217. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xcelium/README.txt 2.1KB
  218. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xcelium/run.f 1.12KB
  219. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/
  220. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/cmd.tcl 464B
  221. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/dds_compiler_1.sh 6.29KB
  222. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/elab.opt 362B
  223. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/file_info.txt 118B
  224. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/README.txt 2.15KB
  225. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/vhdl.prj 116B
  226. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_1/xsim/xsim.ini 22.33KB
  227. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/
  228. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/
  229. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/compile.do 1.91KB
  230. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/dds_compiler_2.sh 4.86KB
  231. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/dds_compiler_2.udo
  232. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/file_info.txt 1.08KB
  233. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/README.txt 2.15KB
  234. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/simulate.do 478B
  235. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/activehdl/wave.do 12B
  236. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/ies/
  237. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/ies/dds_compiler_2.sh 5.72KB
  238. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/ies/file_info.txt 1.08KB
  239. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/ies/README.txt 2.1KB
  240. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/ies/run.f 1.08KB
  241. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/
  242. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/compile.do 2.13KB
  243. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/dds_compiler_2.sh 5.01KB
  244. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/dds_compiler_2.udo
  245. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/file_info.txt 1.08KB
  246. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/README.txt 2.15KB
  247. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/simulate.do 478B
  248. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/modelsim/wave.do 12B
  249. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/
  250. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/compile.do 2.09KB
  251. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/dds_compiler_2.sh 5.12KB
  252. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/dds_compiler_2.udo
  253. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/elaborate.do 350B
  254. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/file_info.txt 1.08KB
  255. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/README.txt 2.15KB
  256. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/simulate.do 205B
  257. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/questa/wave.do 12B
  258. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/README.txt 3.16KB
  259. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/
  260. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/compile.do 1.87KB
  261. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/dds_compiler_2.sh 4.85KB
  262. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/dds_compiler_2.udo
  263. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/file_info.txt 1.08KB
  264. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/README.txt 2.15KB
  265. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/simulate.do 478B
  266. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/riviera/wave.do 12B
  267. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/vcs/
  268. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/vcs/dds_compiler_2.sh 7.91KB
  269. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/vcs/file_info.txt 1.08KB
  270. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/vcs/README.txt 2.15KB
  271. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/vcs/simulate.do 11B
  272. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xcelium/
  273. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xcelium/dds_compiler_2.sh 5.73KB
  274. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xcelium/file_info.txt 1.08KB
  275. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xcelium/README.txt 2.1KB
  276. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xcelium/run.f 1.12KB
  277. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/
  278. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/cmd.tcl 464B
  279. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/dds_compiler_2.sh 6.29KB
  280. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/elab.opt 362B
  281. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/file_info.txt 118B
  282. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/README.txt 2.15KB
  283. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/vhdl.prj 116B
  284. base_gen/prj/p2s_ch16/p2s_ch16.ip_user_files/sim_scripts/dds_compiler_2/xsim/xsim.ini 22.33KB
  285. base_gen/prj/p2s_ch16/p2s_ch16.runs/
  286. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/
  287. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_1.xml 361B
  288. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_2.xml 361B
  289. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_3.xml 361B
  290. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_4.xml 361B
  291. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_5.xml 361B
  292. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_6.xml 344B
  293. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_7.xml 344B
  294. base_gen/prj/p2s_ch16/p2s_ch16.runs/.jobs/vrs_config_8.xml 344B
  295. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/
  296. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/.vivado.begin.rst 189B
  297. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/.vivado.end.rst
  298. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/.Vivado_Synthesis.queue.rst
  299. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/.Xil/
  300. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dds_compiler_0.dcp 168.87KB
  301. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dds_compiler_0.tcl 9.31KB
  302. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dds_compiler_0.vds 37.05KB
  303. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dds_compiler_0_utilization_synth.pb 224B
  304. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dds_compiler_0_utilization_synth.rpt 7.12KB
  305. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/dont_touch.xdc 1.11KB
  306. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/gen_run.xml 2.1KB
  307. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/htr.txt 405B
  308. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/ISEWrap.js 7.14KB
  309. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/ISEWrap.sh 1.58KB
  310. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/project.wdf 3.72KB
  311. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/rundef.js 1.35KB
  312. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/runme.bat 229B
  313. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/runme.log 37.11KB
  314. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/runme.sh 1.24KB
  315. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/vivado.jou 777B
  316. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/vivado.pb 57.4KB
  317. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_0_synth_1/__synthesis_is_complete__
  318. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_1_synth_1/
  319. base_gen/prj/p2s_ch16/p2s_ch16.runs/dds_compiler_1_synth_1/vivado.pb 50.76KB
  320. base_gen/prj/p2s_ch16/p2s_ch16.sim/
  321. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/
  322. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/
  323. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/
  324. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/
  325. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-15684-WIN-8E4QPF0P1B8/
  326. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-15684-WIN-8E4QPF0P1B8/webtalk/
  327. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-18836-Taishi_zhgd/
  328. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-18836-Taishi_zhgd/webtalk/
  329. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-20868-Taishi_zhgd/
  330. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-20868-Taishi_zhgd/webtalk/
  331. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-22524-WIN-8E4QPF0P1B8/
  332. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/.Xil/Webtalk-22524-WIN-8E4QPF0P1B8/webtalk/
  333. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/compile.bat 1000B
  334. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/compile.log 316B
  335. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/elaborate.bat 1.11KB
  336. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/elaborate.log 6.88KB
  337. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/glbl.v 1.44KB
  338. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/simulate.bat 807B
  339. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/simulate.log 50B
  340. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/tb_p2s_ch16.tcl 460B
  341. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/tb_p2s_ch16_behav.wdb 160.81KB
  342. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/tb_p2s_ch16_vhdl.prj 345B
  343. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/tb_p2s_ch16_vlog.prj 257B
  344. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk.jou 967B
  345. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk.log 1.01KB
  346. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_15684.backup.jou 967B
  347. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_15684.backup.log 1.01KB
  348. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_18836.backup.jou 967B
  349. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_18836.backup.log 1.01KB
  350. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_20868.backup.jou 967B
  351. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/webtalk_20868.backup.log 1.01KB
  352. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xelab.pb 11.86KB
  353. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/
  354. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/
  355. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/Compile_Options.txt 498B
  356. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/obj/
  357. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/obj/xsim_0.win64.obj 528.65KB
  358. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/obj/xsim_1.c 30.46KB
  359. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/obj/xsim_1.win64.obj 21.42KB
  360. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/TempBreakPointFile.txt 29B
  361. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/
  362. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/.xsim_webtallk.info 65B
  363. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/usage_statistics_ext_xsim.html 3.2KB
  364. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/usage_statistics_ext_xsim.wdm 1.09KB
  365. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/usage_statistics_ext_xsim.xml 2.78KB
  366. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/webtalk/xsim_webtalk.tcl 3.63KB
  367. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.dbg 11.78KB
  368. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.mem 780.33KB
  369. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.reloc 38.39KB
  370. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.rlx 1017B
  371. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.rtti 468B
  372. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.svtype 39B
  373. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.type 13.49KB
  374. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsim.xdbg 7.59KB
  375. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsimcrash.log
  376. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsimk.exe 565.88KB
  377. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsimkernel.log 332B
  378. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/tb_p2s_ch16_behav/xsimSettings.ini 1.13KB
  379. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/
  380. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dds_compiler_0.vdb 22.39KB
  381. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dds_compiler_1.vdb 21.78KB
  382. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dds_compiler_2.vdb 21.78KB
  383. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb 3.62KB
  384. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/p2s_ch16.sdb 3.23KB
  385. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_p2s_ch16.sdb 1.92KB
  386. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx 758B
  387. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.ini 22.7KB
  388. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xsim.ini.bak 22.7KB
  389. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xvhdl.log
  390. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xvhdl.pb 16B
  391. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xvlog.log 316B
  392. base_gen/prj/p2s_ch16/p2s_ch16.sim/sim_1/behav/xsim/xvlog.pb 616B
  393. base_gen/prj/p2s_ch16/p2s_ch16.srcs/
  394. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/
  395. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/
  396. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/
  397. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/cmodel/
  398. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/cmodel/dds_compiler_v6_0_bitacc_cmodel_lin64.zip 263.5KB
  399. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/cmodel/dds_compiler_v6_0_bitacc_cmodel_nt64.zip 330.97KB
  400. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0.dcp 168.87KB
  401. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0.veo 3.42KB
  402. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0.vho 3.64KB
  403. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0.xci 35.85KB
  404. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0.xml 166.02KB
  405. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0_ooc.xdc 2.39KB
  406. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0_sim_netlist.v 481.28KB
  407. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0_sim_netlist.vhdl 615.62KB
  408. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0_stub.v 1.66KB
  409. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/dds_compiler_0_stub.vhdl 1.69KB
  410. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/demo_tb/
  411. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/demo_tb/tb_dds_compiler_0.vhd 11.72KB
  412. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/doc/
  413. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/doc/dds_compiler_v6_0_changelog.txt 8.52KB
  414. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/
  415. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/axi_utils_v2_0_vh_rfs.vhd 285.77KB
  416. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/dds_compiler_v6_0_vh_rfs.vhd 2.01MB
  417. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/mult_gen_v12_0_vh_rfs.vhd 1.25MB
  418. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_bram18k_v3_0_vh_rfs.vhd 101.27KB
  419. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd 97.49KB
  420. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd 83.24KB
  421. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd 179.18KB
  422. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_pipe_v3_0_vh_rfs.vhd 29.91KB
  423. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/hdl/xbip_utils_v3_0_vh_rfs.vhd 178.38KB
  424. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/sim/
  425. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/sim/dds_compiler_0.vhd 10.28KB
  426. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/synth/
  427. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_0/synth/dds_compiler_0.vhd 11.8KB
  428. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/
  429. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/cmodel/
  430. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/cmodel/dds_compiler_v6_0_bitacc_cmodel_lin64.zip 263.5KB
  431. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/cmodel/dds_compiler_v6_0_bitacc_cmodel_nt64.zip 330.97KB
  432. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1.dcp 70.33KB
  433. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1.veo 3.35KB
  434. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1.vho 3.59KB
  435. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1.xci 35.51KB
  436. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1.xml 165.69KB
  437. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1_ooc.xdc 2.39KB
  438. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1_sim_netlist.v 119.14KB
  439. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1_sim_netlist.vhdl 162.52KB
  440. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1_stub.v 1.6KB
  441. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/dds_compiler_1_stub.vhdl 1.63KB
  442. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/demo_tb/
  443. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/demo_tb/tb_dds_compiler_1.vhd 10.45KB
  444. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/doc/
  445. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/doc/dds_compiler_v6_0_changelog.txt 8.52KB
  446. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/
  447. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/axi_utils_v2_0_vh_rfs.vhd 285.77KB
  448. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/dds_compiler_v6_0_vh_rfs.vhd 2.01MB
  449. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/mult_gen_v12_0_vh_rfs.vhd 1.25MB
  450. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_bram18k_v3_0_vh_rfs.vhd 101.27KB
  451. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd 97.49KB
  452. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd 83.24KB
  453. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd 179.18KB
  454. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_pipe_v3_0_vh_rfs.vhd 29.91KB
  455. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/hdl/xbip_utils_v3_0_vh_rfs.vhd 178.38KB
  456. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/sim/
  457. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/sim/dds_compiler_1.vhd 10.02KB
  458. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/synth/
  459. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_1/synth/dds_compiler_1.vhd 11.54KB
  460. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/
  461. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/cmodel/
  462. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/cmodel/dds_compiler_v6_0_bitacc_cmodel_lin64.zip 263.5KB
  463. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/cmodel/dds_compiler_v6_0_bitacc_cmodel_nt64.zip 330.97KB
  464. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2.dcp 70.32KB
  465. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2.veo 3.35KB
  466. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2.vho 3.59KB
  467. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2.xci 35.51KB
  468. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2.xml 165.69KB
  469. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2_ooc.xdc 2.39KB
  470. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2_sim_netlist.v 119.14KB
  471. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2_sim_netlist.vhdl 162.52KB
  472. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2_stub.v 1.6KB
  473. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/dds_compiler_2_stub.vhdl 1.63KB
  474. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/demo_tb/
  475. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/demo_tb/tb_dds_compiler_2.vhd 10.45KB
  476. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/doc/
  477. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/doc/dds_compiler_v6_0_changelog.txt 8.52KB
  478. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/
  479. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/axi_utils_v2_0_vh_rfs.vhd 285.77KB
  480. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/dds_compiler_v6_0_vh_rfs.vhd 2.01MB
  481. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/mult_gen_v12_0_vh_rfs.vhd 1.25MB
  482. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_bram18k_v3_0_vh_rfs.vhd 101.27KB
  483. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd 97.49KB
  484. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd 83.24KB
  485. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd 179.18KB
  486. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_pipe_v3_0_vh_rfs.vhd 29.91KB
  487. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/hdl/xbip_utils_v3_0_vh_rfs.vhd 178.38KB
  488. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/sim/
  489. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/sim/dds_compiler_2.vhd 10.02KB
  490. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/synth/
  491. base_gen/prj/p2s_ch16/p2s_ch16.srcs/sources_1/ip/dds_compiler_2/synth/dds_compiler_2.vhd 11.54KB
  492. base_gen/prj/p2s_ch16/p2s_ch16.xpr 11.66KB
  493. base_gen/prj/p2s_ch16/vivado.jou 10.7KB
  494. base_gen/prj/p2s_ch16/vivado.log 152.2KB
  495. base_gen/prj/p2s_ch16/vivado_1284.backup.jou 17.05KB
  496. base_gen/prj/p2s_ch16/vivado_1284.backup.log 292.76KB
  497. base_gen/rtl/
  498. base_gen/rtl/p2s_ch16.v 5.34KB
  499. base_gen/rtl/tb_p2s_ch16.v 1.2KB
0评论
提交 加载更多评论
其他资源 serial-protocol-df1
罗克韦尔串口df1协议 JAVA开发适用Micro-Logix1000、SLC500、SLC5/03、SLC5/04、1774-PLC、PLC-2、PLC3、PLC-5、PLC-5/250PLC-5/VME。参考文档https://blog.csdn.net/h4241778/article/details/141387121?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22141387121%22%2C%22source%22%3A%22h4241778%22%7D
基于Python+Vue开发的摄影网上预约管理系统(前后端分离
该项目是基于Python+Vue开发的摄影网上预约管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Python编程技能,同时锻炼他们的项目设计与开发能力。通过学习基于Python的在线摄影预约管理系统项目,大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。 套餐管理:管理系统可以录入、修改和查询套餐的基本信息,如名称、价格、备注等。 套餐分类:系统可以管理套餐的类型信息,包括类型的名称等。 评论管理:管理和浏览整个网站的评论信息。 用户管理:管理和浏览网站的用户信息,可以新增、编辑和删除用户。 统计分析:系统可以根据套餐的活动数据和用户参与度进行统计和分析,帮助管理员了解整个系统的状况。 消息管理:套餐管理员可以在系统上发布消息,整个网站的用户都能收到。 广告管理:套餐管理员可以在系统上发布广告消息,然后在详情页面右侧展示。 意见反馈:套餐管理员可以在后台查看浏览用户提交的意见反馈信息。 系统信息:管理员可以查看系统的基本信息,包括系统名称、服务器信息、内存信息、cpu信息、软件信息等。
基于Python+Vue开发的美容预约管理系统,前后端分离
该项目是基于Python+Vue开发的美容预约管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Python编程技能,同时锻炼他们的项目设计与开发能力。 通过学习基于Python的美容诊所预约管理系统项目,大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。 服务管理:管理系统可以录入、修改和查询服务的基本信息,如名称、价格、简介、备注等。 类型管理:系统可以管理服务的类型信息,包括类型的名称等。 评论管理:管理和浏览整个网站的评论信息。 医师管理:管理和浏览整个网站的医师信息。 用户管理:管理和浏览网站的用户信息,可以新增、编辑和删除用户。 统计分析:系统可以根据服务的活动数据和用户参与度进行统计和分析,帮助管理员了解整个系统的状况。
sshfs-win-3.5-x86.msi;sshfs-win-manager-setup-v1.3.1.exe;winfsp
Linux文件共享到windows系统,需要下载安装下面三个软件: sshfs-win:https://github.com/billziss-gh/sshfs-win/releases winfsp:https://github.com/billziss-gh/winfsp/releases SSHFS-Win Manager:https://github.com/evsar3/sshfs-win-manager/releases ———————————————— 压缩包内已包含sshfs-win-3.5.20357-x86.msi;sshfs-win-manager-setup-v1.3.1.exe;winfsp-2.1.24051.msi
基于Python+Vue开发的新闻管理系统(前后端分离)
该项目是基于Python+Vue开发的新闻管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Python编程技能,同时锻炼他们的项目设计与开发能力。通过学习基于Python的新闻管理系统项目,大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。 新闻管理:管理系统可以录入、修改和查询新闻的基本信息,如名称、内容、来源等。 类型管理:系统可以管理新闻的类型信息,包括类型的名称等。 评论管理:管理和浏览整个网站的评论信息。 用户管理:管理和浏览网站的用户信息,可以新增、编辑和删除用户。 统计分析:系统可以根据新闻的活动数据和用户参与度进行统计和分析,帮助管理员了解整个系统的状况。 消息管理:新闻管理员可以在系统上发布消息,整个网站的用户都能收到。 广告管理:新闻管理员可以在系统上发布广告消息,然后在详情页面右侧展示。 意见反馈:新闻管理员可以在后台查看浏览用户提交的意见反馈信息。 系统信息:管理员可以查看系统的基本信息,包括系统名称、服务器信息、内存信息、cpu信息、软件信息等。
该项目是基于Python+Vue开发的商城管理系统(前后端分离)
该项目是基于Python+Vue开发的商城管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Python编程技能,同时锻炼他们的项目设计与开发能力。通过学习基于Python的网上商城管理系统项目,大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。 商品管理:管理系统可以录入、修改和查询商品的基本信息,如名称、价格、备注等。 类型管理:系统可以管理商品的类型信息,包括类型的名称等。 评论管理:管理和浏览整个网站的评论信息。 用户管理:管理和浏览网站的用户信息,可以新增、编辑和删除用户。 统计分析:系统可以根据商品的活动数据和用户参与度进行统计和分析,帮助管理员了解整个系统的状况。 消息管理:商品管理员可以在系统上发布消息,整个网站的用户都能收到。 广告管理:商品管理员可以在系统上发布广告消息,然后在详情页面右侧展示。 意见反馈:商品管理员可以在后台查看浏览用户提交的意见反馈信息。 系统信息:管理员可以查看系统的基本信息,包括系统名称、服务器信息、内存信息、cpu信息、软件信息等。
Python+Vue开发的房产销售管理系统
该项目是基于Python+Vue开发的房产销售管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Python编程技能,同时锻炼他们的项目设计与开发能力。通过学习基于Python的房产销售管理系统项目, 大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。
基于Java+Springboot+Vue开发的大学竞赛报名管理系统
该项目是基于Java+Springboot+Vue开发的大学竞赛报名管理系统(前后端分离),这是一项为大学生课程设计作业而开发的项目。 该系统旨在帮助大学生学习并掌握Java编程技能,同时锻炼他们的项目设计与开发能力。通过学习基于Java的大学竞赛报名管理系统项目,大学生可以在实践中学习和提升自己的能力,为以后的职业发展打下坚实基础。 主要功能 赛事管理:管理系统可以录入、修改和查询赛事的基本信息,如名称、报名时间、备注等。 赛事分类:系统可以管理赛事的类型信息,包括类型的名称等。 用户管理:管理和浏览网站的用户信息,可以新增、编辑和删除用户。 统计分析:系统可以根据赛事的活动数据和用户参与度进行统计和分析,帮助管理员了解整个系统的状况。 消息管理:赛事管理员可以在系统上发布消息,整个网站的用户都能收到。 意见反馈:赛事管理员可以在后台查看浏览用户提交的意见反馈信息。 系统信息:管理员可以查看系统的基本信息,包括系统名称、服务器信息、内存信息、cpu信息、软件信息等。 注册登录:用户通过注册和登录后,才能使用网站。